跳转至

安装 Verilator

Verilator 是一款开源的支持 Verilog 和 SystemVerilog 仿真工具。它能够将给定的电路设计翻译成 C++ 或者 SystemC 的库等中间文件,最后使用 C/C++ 编写激励测试,去调用前面生成的中间文件,由 C/C++ 编译器编译执行,来完成仿真。此外,它也具有静态代码分析的功能。

我们需要手动编译安装 Verilator,步骤可以参考官方文档。本文主要对文档内容进行整理,并补充一些细节。

为什么要手动编译安装?

通过 APT 安装的 Verilator 版本过低,无法支持 SystemVerilog。因此我们需要编译安装最新版本的 Verilator。

Vlab 虚拟机已部署此工具

我们已经请求 Vlab 管理员在虚拟机上安装了满足实验要求的 Verilator,位于 /opt/vlab/share/verilator 目录下,使用 Vlab 虚拟机完成实验的同学可以跳过此安装步骤。

安装依赖

Verilator 的编译安装需要以下依赖:

shell
$ sudo apt-get install git perl python3 make autoconf g++ flex bison ccache
$ sudo apt-get install libgoogle-perftools-dev numactl perl-doc help2man
$ sudo apt-get install libfl2 (ignore if gives error)
$ sudo apt-get install libfl-dev (ignore if gives error)
$ sudo apt-get install zlibc zlib1g zlib1g-dev (ignore if gives error)

下载源码

Verilator 的源码托管在 GitHub 上,我们可以通过以下命令来下载源码:

shell
$ git clone https://github.com/verilator/verilator

如果你难以访问 GitHub,也可以点击此处获取源码压缩包。

编译安装

进入源码目录,执行以下命令:

shell
$ autoconf
$ ./configure
$ make -j <nproc>
$ sudo make install

注意,make -j <nproc> 命令中的任务数要根据自己电脑的配置来调整,数量不要超过电脑最大线程数。

编译过程可能需要较长时间,请耐心等待。

测试安装

执行以下命令:

shell
$ verilator --version

如果输出了 Verilator 的版本号,说明已经安装成功。