跳转至

安装实验辅助工具

安装库文件和编译工具

以下工具为本课程实验所必需的工具,如果你已经安装了这些工具,可以跳过这一步。

更换 Ubuntu 软件源

由于 Ubuntu 默认软件源在国内访问速度较慢,我们建议大家更换为国内的软件源,例如科大源

在更换软件源后,请使用 sudo apt update 命令更新索引。

Vlab 虚拟机已经使用科大镜像源,不需要手动修改。

Vlab 已提供预装这些工具的镜像

我们已经请求 Vlab 管理员为我们制作了包含这些工具的镜像,也就是 vlab21-CECS-ubuntu-desktop-mate-22.04,这一镜像中也同时预装了 GTKWave 和 gedit。

使用此镜像的同学可以直接跳转到安装 Visual Studio Code 与插件

shell
$ sudo apt install gcc g++ gdb
$ sudo apt install make
$ sudo apt install git
$ sudo apt install llvm-11 llvm-11-dev
$ sudo apt install man
$ sudo apt install libsdl2-dev
$ sudo apt install libreadline-dev

安装 GTKWave

GTKWave 是用来查看波形的一款图形化软件,我们将使用它查看 Verilator 生成的电路波形图,完成对硬件逻辑的调试。 安装的方法非常简单,只需要使用一行命令:

shell
$ sudo apt install gtkwave

查看波形时,可以使用如下命令:

shell
$ gtkwave <vcd-file-path>

安装 gedit

gedit 是 Ubuntu 自带的文本编辑器,我们可以也通过以下命令来安装:

shell
$ sudo apt install gedit

使用 gedit 可以较为方便地编辑一些配置文件。

例如,在后续实验中,我们可能要配置环境变量,这时可以使用如下命令打开 bash 的配置文件:

shell
$ gedit ~/.bashrc

安装 Visual Studio Code 与插件

安装 Visual Studio Code

WSL2 用户请跳过此步骤

使用 WSL2 时可以不用在虚拟机中安装 Visual Studio Code,只需要在 Windows 中安装,打开后点击左下角,远程连接本机 WSL 即可。

我们推荐大家在官网官网下载 deb 包进行安装。下载完成后,在安装包所在目录(通常为 ~/Download/)执行以下命令:

shell
$ sudo dpkg -i <code-deb>
为什么不使用 Ubuntu 商店安装?

Ubuntu 商店中的 Visual Studio Code 是裁剪过的版本,无法输入中文。

安装插件

WSL2 用户请在远程连接 WSL2 后再安装插件

Windows 下安装的插件是不能在 WSL2 中使用的。

在 Visual Studio Code 中安装以下插件:

  • Chinese (Simplified) Language Pack for Visual Studio Code

    id: ms-ceintl.vscode-language-pack-zh-hans

    image-20230807120435752

  • C/C++

    id: ms-vscode.cpptools

    image-20230807122412864

  • Verilog HDL/SystemVerilog

    id: mshr-h.veriloghdl

    image-20230807120457296

  • TerosHDL

    id: teros-technology.teroshdl

    image-20230807120513510

  • GitHub Copilot

    id: github.copilot

    image-20230815214637913